Xilinx FPGA:vivado串口输入输出控制fifo中的数据

一、实验要求

实现同步FIFO回环测试,通过串口产生数据,写入到FIFO内部,当检测到按键信号到来,将FIFO里面的数据依次读出。

二、信号流向图

三、状态转换图

四、程序设计

(1)按键消抖模块

`timescale 1ns / 1ps
module key_debounce(
   input                  sys_clk    ,
   input                  rst_n      ,
   input                  key        ,
   output                 key_flag   
    );
//    parameter         delay = 10_000_00 ;
    parameter         delay = 10_0;//00_00 ; //测试用
    reg[19:0]         cnt      ;
    
    always@(posedge sys_clk )
         if(!rst_n)
            cnt <= 0 ;
         else if ( key == 0 )begin
              if ( cnt == delay -1 )
                   cnt <= cnt ;
              else
                   cnt <= cnt +1 ;
         end
         else
         cnt <= 0 ;
         
   assign key_flag = ( cnt == delay - 2 )?1:0 ;
    
    
endmodule

(2)接收端模块

`timescale 1ns / 1ps
module rx_uart(
   input                    sys_clk   ,
   input                    rst_n     ,
   input                    rx_data   ,//[0]起始位 [12345678]数据位 [9]校验位 [10]停止位
   output     reg[7:0]     uart_data ,
   output     reg           rx_done  
    );
    parameter              SYSCLK = 50_000_000 ;
    parameter              Baud   = 115200     ; 
    parameter              COUNT  = SYSCLK/Baud; 
    parameter              MID    = COUNT/2    ; 
    
    //start_flag
    wire            start_flag     ;
    reg              rx_reg1        ;
    reg              rx_reg2        ;
    
    always@(posedge sys_clk )
         if(!rst_n)begin
            rx_reg1 <= 1 ;
            rx_reg2 <= 1 ;
         end
         else
             begin
                  rx_reg1 <= rx_data ;
                  rx_reg2 <= rx_reg1 ;
             end
    assign  start_flag = ~rx_reg1 & rx_reg2  ;
    
    ///rx_flag
    reg               rx_flag   ;
    reg[4:0]          cnt_bit   ;
    reg[9:0]          cnt       ;
    
    always@(posedge sys_clk )
         if(!rst_n)
            rx_flag <= 0 ;
         else if ( start_flag == 1 )
            rx_flag <= 1 ;
         else if ( cnt_bit == 10 && cnt == COUNT -1 )
            rx_flag <= 0 ;
         else
            rx_flag <= rx_flag  ;
    
    ///cnt434
     always@(posedge sys_clk )
          if(!rst_n)
             cnt <= 0 ;
          else if ( rx_flag == 1 )begin
               if ( cnt == COUNT -1 )
                    cnt <= 0 ;
               else
                    cnt <= cnt +1 ;
          end
          else
          cnt <= 0 ;
     
     //cnt_bit
     always@(posedge sys_clk )
           if(!rst_n)
              cnt_bit <= 0 ;
           else if ( rx_flag == 1 )begin
                if ( cnt == COUNT - 1 )begin
                    if ( cnt_bit == 10 )
                         cnt_bit <= 0 ;
                    else
                         cnt_bit <= cnt_bit +1 ;
                end
                else
                cnt_bit <= cnt_bit ;                   
           end
           else
           cnt_bit <= 0 ;
    
    //data_reg
    reg[8:0]             data_reg  ; 
    always@(posedge sys_clk )
         if(!rst_n)         ///cnt_bit : [0] 12345678 [9] [10]
            data_reg <= 0 ;///data_reg : 01234567 [8]
         else if ( rx_flag == 1 )begin
              if ( cnt_bit > 0 && cnt_bit < 10 && cnt == MID - 1 )
                  data_reg[ cnt_bit - 1 ] <= rx_data ;
              else
                  data_reg <= data_reg  ;
         end
         else
         data_reg <= 0 ;
  
  ///check
     reg                 check  ;
     parameter          MODE_CHECK = 0 ;     
     always@(posedge sys_clk )
          if(!rst_n)
             check <= 0 ;
          else if ( rx_flag == 1 )begin
               if ( cnt_bit == 10 )
                   check <= ^data_reg ;
               else
                   check <= check ;
          end
          else
          check <= 0 ;
   
   //uart_data
     always@(posedge sys_clk )
          if(!rst_n)
            uart_data <= 0 ;
          else if ( rx_flag == 1 )begin
               if ( cnt_bit == 10 && cnt == MID - 1 && check == MODE_CHECK )
                    uart_data <= data_reg[7:0] ;
               else
                    uart_data <= uart_data ;
          end
          else
          uart_data <= uart_data  ;
     
     ///rx_done
     always@(posedge sys_clk )
          if(!rst_n)
             rx_done <= 0 ;
          else if ( rx_flag == 1 )begin
               if ( cnt_bit == 10 && cnt == COUNT -1 )
                    rx_done <= 1 ;
               else
                    rx_done <= 0 ;
          end
          else
          rx_done <= 0 ;
            

    
endmodule

(3)发送端模块

`timescale 1ns / 1ps
module tx_uart(
   input                 sys_clk    ,
   input                 rst_n      ,
   input     [7:0]       fifo_out  ,
   input                 tx_start    ,
   output   reg          tx_data    ,
   output   reg          tx_done 
    );
    parameter                 SYSCLK = 50_000_000 ; 
    parameter                 Baud   = 115200     ;    
    parameter                 COUNT  = SYSCLK/Baud;   
    parameter                 MID    = COUNT/2    ;
    
    //start_flag
    reg              tx_reg1 ;
    reg              tx_reg2 ;
    wire            start_flag ;
    
    always@(posedge sys_clk )
         if(!rst_n)begin
            tx_reg1 <= 0 ;
            tx_reg2 <= 0 ;
            end
         else
             begin
                 tx_reg1 <= tx_start  ;
                 tx_reg2 <= tx_reg1  ;
             end
    assign  start_flag = tx_reg1 & ~tx_reg2 ;
    
    //tx_flag
    reg               tx_flag  ;
    reg[4:0]          cnt_bit  ;
    reg[9:0]          cnt      ;
    
    always@(posedge sys_clk )
         if(!rst_n)
            tx_flag <= 0 ;
         else if ( start_flag == 1 )
            tx_flag <= 1 ;
         else if ( cnt_bit == 10 && cnt == COUNT -1 )
            tx_flag <= 0 ;
         else
            tx_flag <= tx_flag ;
    
   ///cnt
   always@(posedge sys_clk )
        if(!rst_n)
           cnt <= 0 ;
        else if ( tx_flag == 1 )begin
             if ( cnt == COUNT -1 )
                  cnt <= 0 ;
             else
                  cnt <= cnt +1 ;
        end
        else
        cnt <= 0 ;
    
    ///cnt_bit 
    always@(posedge sys_clk )
         if(!rst_n)
            cnt_bit <= 0 ;
         else if ( tx_flag == 1 )begin
              if ( cnt == COUNT -1 )begin
                  if ( cnt_bit == 10 )
                       cnt_bit <= 0 ;
                  else
                       cnt_bit <= cnt_bit +1 ;
              end
              else
              cnt_bit <= cnt_bit ;
         end
         else
         cnt_bit <= 0 ;
    
    //tx_data
    parameter               MODE_CHECK = 0 ;
    always@(posedge sys_clk )
         if(!rst_n)        //cnt_bit:[0] 12345678 [9] [10]
            tx_data <= 0 ; //uart_data: 01234567
         else if ( tx_flag == 1 )begin
              if ( cnt_bit > 0 && cnt_bit < 9 && cnt == MID -1 )
                   tx_data <= fifo_out[ cnt_bit -1 ] ;
              else if ( cnt_bit == 0 )
                   tx_data <= 0 ;
              else if ( cnt_bit == 10)
                   tx_data <= 1 ;
              else if ( cnt_bit == 9 )
                   tx_data <= ( MODE_CHECK == 0 )?^fifo_out:~^fifo_out ;
              else
                   tx_data <= tx_data ;    
         end
         else
         tx_data <= 1 ;
    
    ///tx_done
     always@(posedge sys_clk )
          if(!rst_n)
             tx_done <= 0 ;
          else if ( tx_flag == 1 )begin
               if ( cnt_bit == 10 && cnt == COUNT -1 )
                    tx_done <= 1 ;
               else
                    tx_done <= 0 ;
          end
          else
          tx_done <= 0 ;

    
endmodule

(4)fifo控制模块

`timescale 1ns / 1ps
module common_fifo_ctrl(
    input                   sys_clk    ,
    input                   rst_n      ,
    input     [7:0]         uart_data  ,
    input                   rx_done    ,
    input                   tx_done    ,
    input                   key_flag   ,
    output     reg          tx_start   ,
    output     reg[7:0]     fifo_out   

    );
    reg  [7 : 0] din  ;       
    reg  wr_en        ;     
    reg  rd_en        ;     
    wire [7 : 0] dout      ; 
    wire full              ;
    wire wr_ack            ;
    wire empty             ;
    wire valid             ;
    wire [3 : 0] data_count;
   
    localparam                  IDLE   = 3'd0 ;
    localparam                  WRITE  = 3'd1 ;
    localparam                  WAIT   = 3'd2 ;
    localparam                  TEXT   = 3'd3 ;
    
    reg[2:0]                    cur_state   ;
    reg[2:0]                    next_state  ;
    
    //state1
    always@(posedge sys_clk )
         if(!rst_n)
            cur_state <= IDLE ;
         else
            cur_state <= next_state ;
     
     //state2
     always@(*)
           case(cur_state)
                 IDLE  :
                        begin
                            next_state = WRITE ;
                        end
                 WRITE :
                        begin
                            if( full == 1 )
                               next_state = WAIT ;
                            else
                               next_state = cur_state ;
                        end
                 WAIT  :
                        begin
                            if ( key_flag == 1 )
                                next_state = TEXT ;
                            else
                                next_state = cur_state ;
                        end
                 TEXT  :
                        begin
                            if( empty == 1 )
                                next_state = IDLE ;
                            else
                                next_state = cur_state ;
                        end
           default:;
           endcase
    
    ///state3
     always@(posedge sys_clk )
          if(!rst_n)begin
              din   <= 0 ;
              wr_en <= 0 ;       
              rd_en <= 0 ; 
              fifo_out <= 0 ;
              tx_start <= 0 ;      
          end
          else
              case(cur_state)
                   IDLE  :
                           begin
                                 din   <= 0 ;     
                                 wr_en <= 0 ;     
                                 rd_en <= 0 ;     
                                 fifo_out <= 0 ;  
                                 tx_start <= 0 ;  
                           end
                   WRITE :
                           begin
                               if ( rx_done )begin
                                   wr_en <= 1 ;     
                                   din <= uart_data ;
                                   end
                                else
                                   wr_en <= 0 ;
                           end
                   WAIT  :
                          begin
                               tx_start <= 0 ;
                               if (key_flag == 1 ) //只有一个时钟周期能读完吗?
                                   rd_en <= 1 ;    //答:此时uart_data是并行数据,传输仅需1个时钟周期
                                else
                                   rd_en <= 0 ;
                          end
                   TEXT  :  
                          begin
                               if(valid)
                                  tx_start <= 1 ;
                               else
                                  tx_start <= 0 ;
                               
                               if(tx_done)
                                  rd_en <= 1 ;
                               else
                                  rd_en <= 0 ;
                                  
                               if(valid)
                                  fifo_out <= dout ;
                               else
                                  fifo_out <= fifo_out ;
                          end
              
              default:;
              endcase
              


//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG
fifo_generator_0 fifo1 (
  .clk(sys_clk ),                // input wire clk
  .srst(~rst_n),              // input wire srst
  .din(din),                // input wire [7 : 0] din
  .wr_en(wr_en),            // input wire wr_en
  .rd_en(rd_en),            // input wire rd_en
  .dout(dout),              // output wire [7 : 0] dout
  .full(full),              // output wire full
  .wr_ack(wr_ack),          // output wire wr_ack
  .empty(empty),            // output wire empty
  .valid(valid),            // output wire valid
  .data_count(data_count)  // output wire [3 : 0] data_count
);
// INST_TAG_END ------ End INSTANTIATION Template ---------

endmodule

(5)顶层

`timescale 1ns / 1ps
module TOP(
   input                    sys_clk    ,
   input                    rst_n      ,
   input                    key        ,
   input                    rx_data    ,
   output                   tx_data  
    );
  ///key_debounce
  wire              key_flag  ;
  key_debounce key_debounce_u1(
                              .    sys_clk  (sys_clk )  ,
                              .    rst_n    (rst_n   )  ,
                              .    key      (key     )  ,
                              .    key_flag (key_flag)  
    );
 
 ///uart_rx
 wire       [7:0]       uart_data  ;
 wire                   rx_done    ;
 rx_uart rx_uart_u1(
                              .    sys_clk  ( sys_clk )  ,
                              .    rst_n    ( rst_n   )  ,
                              .    rx_data  ( rx_data )  ,//[0]起始位 [12345678]数据位 [9]校验位 [10]停止位
                              .   uart_data (uart_data)  ,
                              .    rx_done  ( rx_done ) 
    );

///uart_tx
wire               tx_done   ;
wire    [7:0]       fifo_out  ;
wire               tx_start  ; 
tx_uart tx_uart_u1(
                              .   sys_clk    (sys_clk  ) ,
                              .   rst_n      (rst_n    ) ,
                              .   fifo_out   (fifo_out ) ,
                              .   tx_start   (tx_start  ) ,
                              .   tx_data    (tx_data  ) ,
                              .   tx_done    (tx_done  )
    );
 
 ///fifo
   common_fifo_ctrl common_fifo_ctrl_u1(
                              .     sys_clk    (sys_clk  )     ,
                              .     rst_n      (rst_n    )     ,
                              .     uart_data  (uart_data)     ,
                              .     rx_done    (rx_done  )     ,
                              .     tx_done    (tx_done  )     ,
                              .     key_flag   (key_flag )     ,
                              .     tx_start   (tx_start )     ,
                              .     fifo_out   (fifo_out )     

    );
   
   
   
   
 
 
 
 
 
  
endmodule

五、仿真结果

程序:

`timescale 1ns / 1ps
module test_TOP(  );
    
   reg                sys_clk    ;
   reg                rst_n      ;
   reg                key        ;
   reg                rx_data    ;
   wire               tx_data    ;
  
      
   parameter               SYSCLK = 50_000_000 ;
   parameter               Baud   = 115200     ;
   parameter               COUNT  = SYSCLK/Baud;
   parameter               MID    = COUNT/2    ;
   
   initial
          begin
               sys_clk = 0 ;
               rst_n   = 0 ;
               key     = 1 ;
               #10
               rst_n   = 1 ;
               #200000
               key     = 0 ;
          end
   always  #1  sys_clk = ~sys_clk ;
   
   initial
          begin
               uart_out ( 8'hCC );
               uart_out ( 8'hE8 );
               uart_out ( 8'h18 );
               uart_out ( 8'h78 );
               uart_out ( 8'h66 );
               uart_out ( 8'h1E );
               uart_out ( 8'hCC );
               uart_out ( 8'h9F );
               uart_out ( 8'h66 );
               uart_out ( 8'h9F );
               uart_out ( 8'h33 );
               uart_out ( 8'h1E );
               uart_out ( 8'hCC );
               uart_out ( 8'h9F );
               uart_out ( 8'h18 );
               uart_out ( 8'h33 );
               uart_out ( 8'hCC );
          end
   
   
   
   //任务函数
   task            uart_out  ;
       input    [8:0]    DATA   ;
       begin
            rx_data = 1 ; ///空闲位初始
            #20
            rx_data = 0 ;
            #(COUNT*2)    rx_data = DATA[0]  ;
            #(COUNT*2)    rx_data = DATA[1]  ;
            #(COUNT*2)    rx_data = DATA[2]  ;
            #(COUNT*2)    rx_data = DATA[3]  ;
            #(COUNT*2)    rx_data = DATA[4]  ;
            #(COUNT*2)    rx_data = DATA[5]  ;
            #(COUNT*2)    rx_data = DATA[6]  ;
            #(COUNT*2)    rx_data = DATA[7]  ;
            #(COUNT*2)    rx_data = 0        ;
            #(COUNT*2)    rx_data = 1        ;
            #(COUNT*2)                       ;
       end
   endtask

   
 
 TOP TOP_1(
            .     sys_clk (sys_clk)   ,
            .     rst_n   (rst_n  )   ,
            .     key     (key    )   ,
            .     rx_data (rx_data)   ,
            .     tx_data (tx_data) 
    );






endmodule

实验结果:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mfbz.cn/a/780042.html

如若内容造成侵权/违法违规/事实不符,请联系我们进行投诉反馈qq邮箱809451989@qq.com,一经查实,立即删除!

相关文章

批量文本编辑管理神器:一键修改多处内容,轻松转换编码,助力工作效率飞跃提升!

在信息爆炸的时代&#xff0c;文本处理已成为我们日常工作中不可或缺的一部分。无论是处理文档、整理数据还是编辑资料&#xff0c;都需要对大量的文本进行管理和修改。然而&#xff0c;传统的文本编辑方式往往效率低下&#xff0c;容易出错&#xff0c;难以满足现代工作的高效…

QListWidget 缩略图IconMode示例

1、实现的效果如下&#xff1a; 2、实现代码 &#xff08;1&#xff09;头文件 #pragma once #include <QtWidgets/QMainWindow> #include "ui_QListViewDemo.h" enum ListDataType { ldtNone -1, ldtOne 0, ldtTwo 1, }; struct ListData…

树莓派4B_OpenCv学习笔记19:OpenCV舵机云台物体追踪

今日继续学习树莓派4B 4G&#xff1a;&#xff08;Raspberry Pi&#xff0c;简称RPi或RasPi&#xff09; 本人所用树莓派4B 装载的系统与版本如下: 版本可用命令 (lsb_release -a) 查询: Opencv 版本是4.5.1&#xff1a; Python 版本3.7.3&#xff1a; ​​ 今日学习&#xff1…

Apache Seata应用侧启动过程剖析——RM TM如何与TC建立连接

本文来自 Apache Seata官方文档&#xff0c;欢迎访问官网&#xff0c;查看更多深度文章。 本文来自 Apache Seata官方文档&#xff0c;欢迎访问官网&#xff0c;查看更多深度文章。 Apache Seata应用侧启动过程剖析——RM & TM如何与TC建立连接 前言 看过官网 README 的第…

Python | Leetcode Python题解之第217题存在重复元素

题目&#xff1a; 题解&#xff1a; class Solution(object):def containsDuplicate(self, nums):if len(set(nums)) ! len(nums):return Trueelse:return False

TCP一定可靠吗

背景 公司某个服务发送TCP报文后,得到的响应是非预期数据 原因竟然是:TCP包的 payload 数据某个bit位被翻转,但是 checksum 的值一样,错误的包被分发给了上层服务 Checksum介绍 IP 头有自己的 Checksum,TCP、UDP 也有自己的 Checksum,分别校验不同部分的数据 IP 头的 …

赛元单片机开发工具SOC_Programming_Tool_Enhance_V1.50 分享

下载地址&#xff1a; SOC_Programming_Tool_Enhance_V1.50(LIB0D30).rar: https://545c.com/f/45573183-1320016694-557ebd?p7526 (访问密码: 7526)

使用Spring Boot和自定义缓存注解优化应用性能

在现代应用开发中&#xff0c;缓存是提高系统性能和响应速度的关键技术之一。Spring Boot提供了强大的缓存支持&#xff0c;但有时我们需要更灵活的缓存控制。本文将介绍如何使用Spring Boot和自定义缓存注解来优化应用性能。 1. 为什么需要自定义缓存注解&#xff1f; Sprin…

干货 | 2024大模型场景下智算平台的设计与优化实践(免费下载)

诚挚邀请您微信扫描以下二维码加入方案驿站知识星球&#xff0c;获取上万份PPT/WORD解决方案&#xff01;&#xff01;&#xff01;感谢支持&#xff01;&#xff01;&#xff01;

在linux系统centos上面安装php7gmp扩展

ps:在ubuntu上面安装gmp(最简单) $ sudo apt-get install php7.0-gmp然后再php.ini添加extensionphp_gmp.so <<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<<…

Vue3中生成本地pdf并下载

1. 前言 前端中经常会遇到在系统中根据数据导出一个pdf文件出来,一般都是后端来实现的,既然后端可以实现,前端为什么就不行呢,正好有一次也写了这个需求,就写了个小demo 示例图: 2. 实现步骤 首先下载html2pdf.js这个库yarn add html2pdf.js // 或 npm i html2pdf.js在项…

欧洲杯数据控@20240706

点击标题下「蓝色微信名」可快速关注 上半区西班牙、法国脱颖而出&#xff0c;将会争夺一个决赛的席位&#xff0c;下半区两场比赛&#xff0c;将会决出另外两支进入半决赛的球队&#xff0c; 今日射手榜&#xff0c;随着球队的淘汰&#xff0c;能争夺金靴的球员越来越少了&…

17.优化算法之解决拓扑排序4

0.基础 1.课程表1 207. 课程表 - 力扣&#xff08;LeetCode&#xff09; class Solution {public boolean canFinish(int n, int[][] p) {// 1. 准备⼯作int[] in new int[n]; // 统计每⼀个顶点的⼊度Map<Integer, List<Integer>> edges new HashMap<>…

整洁架构SOLID-开闭原则(OCP)

文章目录 1 定义2 最佳实践2.1 需求2.2 需求变更2.3 变更原则2.4 实现逻辑2.4.1 组件化2.4.2 组件关系 2.5 依赖方向的控制 3 本章小结 1 定义 开闭原则(OCP)是Bertrand Meyer在1988年提出的&#xff0c;该设计原则认为&#xff1a; 设计良好的计算机软件应该易于扩展&#xf…

认识并理解webSocket

今天逛牛客&#xff0c;看到有大佬分享说前端面试的时候遇到了关于webSocket的问题&#xff0c;一看自己都没见过这个知识点&#xff0c;赶紧学习一下&#xff0c;在此记录&#xff01; WebSocket 是一种网络通信协议&#xff0c;提供了全双工通信渠道&#xff0c;即客户端和服…

Unity3D游戏 RPG

丛林探险游戏 人物进行探险游戏 拥有登录&#xff0c;首页&#xff0c;3D物体旋转浏览的功能&#xff0c;还能进行种植树等功能

GD32 MCU ADC采样率如何计算?

大家在使用ADC采样的时候是否计算过ADC的采样率&#xff0c;这个问题非常关键&#xff01; 以下为GD32F303系列MCU中有关ADC的参数&#xff0c;其中ADC时钟最大值为40MHz&#xff0c;12位分辨率下最大采样率为2.86MSPS.如果ADC时钟超频的话&#xff0c;可能会造成ADC采样异常&…

【总线】AXI4第七课时:AXI的额外的控制信息(PROT和CACHE)

大家好,欢迎来到今天的总线学习时间!如果你对电子设计、特别是FPGA和SoC设计感兴趣&#xff0c;那你绝对不能错过我们今天的主角——AXI4总线。作为ARM公司AMBA总线家族中的佼佼者&#xff0c;AXI4以其高性能和高度可扩展性&#xff0c;成为了现代电子系统中不可或缺的通信桥梁…

Vue3.js“非原始值”响应式实现基本原理笔记(二)

如果您觉得这篇文章有帮助的话&#xff01;给个点赞和评论支持下吧&#xff0c;感谢~ 作者&#xff1a;前端小王hs 阿里云社区博客专家/清华大学出版社签约作者/csdn百万访问前端博主/B站千粉前端up主 此篇文章是博主于2022年学习《Vue.js设计与实现》时的笔记整理而来 书籍&a…

STM32F103C8T6核心板原理图和PCB分享

PCB图 原理图 资料下载地址&#xff1a; 原理图PCB库: https://545c.com/d/45573183-61875742-29897c?p7526 (访问密码: 7526)